Benchmarking Method and Designs Targeting Logic Synthesis for FPGAs

نویسندگان

  • Joachim Pistorius
  • Mike Hutton
  • Alan Mishchenko
  • Robert Brayton
چکیده

A new set of benchmark designs is presented together with a reference experiment flow based on state of the art industrial and academic tools. Interfacing the tools became possible by extending the academic design specification format (BLIF) with the capability to represent blocks with unknown logic specification. This extension is required for handling large HDL designs containing memories and blackboxes. All tools and designs are made publicly available in an attempt to standardize on a benchmarking method for future tool evaluations and extensions to placement, routing, and other CAD algorithms.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Effective and Efficient Circuit Synthesis for LUT FPGAs Based on Functional Decomposition and Information Relationship Measures

The narrowing opportunity window and the dramatically increasing development costs of deep sub-micron application specific integrated circuit (ASIC) designs have presented new challenges to the development process. The cost of ASICs development and fabrication is presently so high that more and more companies are seeking alternative implementation platforms. Today, programmable logic devices (P...

متن کامل

Benchmarking under the hood of OpenCL FPGA platforms

The end of Moore’s law creates a significant turning point for computer architecture. Today, performance is largely limited by energy, power, and cooling. Heterogeneity and radical new architecture designs are keys to achieving higher energy proportionality. In mobile computing, heterogeneity is well adopted in system-on-chip designs (e.g., to improve battery life). In high-performance computin...

متن کامل

Benchmarking and Evaluating ReconÞgurable Architectures Targeting the Mobile Domain

We present the GroundHog 2009 benchmarking suite that evaluates the power consumption of reconfigurable technology for applications targeting the mobile computing domain. This benchmark suite includes seven designs; one design targets fine-grained FPGA fabrics allowing for quick stateof-the-art evaluation, and six designs are specified at a high level allowing them to target a range of existing...

متن کامل

Rosetta: A Realistic Benchmark Suite for Software Programmable FPGAs

Extreme-scale integration of modern system-on-chip (SoC) and escalating design complexity of emerging applications reiterate the importance of designing at a higher level of abstraction and call for an everimproving suite of high-level synthesis (HLS) tools to enable optimization opportunities that are otherwise infeasible at the register-transfer level (RTL) [1]. The need for software programm...

متن کامل

Design Guidelines for Optimal Results in FPGAs and ASIC Prototypes

Design practices have an enormous impact on an FPGA design’s timing performance, logic utilization, and system reliability. Good design practices also aid in successful design migration between FPGA and ASIC implementations for both prototyping and production. Poor design practices can lead to low performance, high logic or resource utilization, and unstable or unreliable designs associated wit...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2007